Questions tagged [modelsim]

ModelSim is a popular simulator and debugging environment for VHDL, Verilog and SystemC. It is used in electronic design automation for development and verification of electronic (mainly digital) modules and systems for implementation on field-programmable gate arrays or integrated circuits.

ModelSim is a product by Mentor Graphics to simulate systems written in one of the hardware description languages (HDLs) VHDL or Verilog or the system-level modeling language SystemC.

Depending on the license, ModelSim offers all or a subset of the following features:

  • Source code editor
  • Compiler
  • Simulator
  • Waveform viewer
  • Interactive debugging such as breakpoints, stepping etc.
  • Signal tracing (dataflow) analysis
  • Code coverage analysis
  • Functional coverage analysis
  • Project file management

ModelSim integrates these features in a single configurable GUI, however, it can also be scripted via the Tcl language and controlled through command-line parameters which allows for automation of simulation and verification tasks.

704 questions
34
votes
2 answers

vsim does not accept -modelsimini parameter on Windows

I'm using the command line argument -modelsimini to specify my own modelsim.ini file for most QuestaSim / ModelSim executables. This works perfectly fine on Linux for vcom and vsim, as well as for vcom on Windows. But the Windows vsim…
Paebbels
  • 13,346
  • 11
  • 50
  • 114
15
votes
3 answers

How does signal assignment work in a process?

I learned that a signal is not changed immediately when encountering an expression, but when the process ends. In this example here: ... signal x,y,z : bit; ... process (y) begin x<=y; z<=not x; end process; The example says this: If the signal…
Andry
  • 14,281
  • 23
  • 124
  • 216
15
votes
2 answers

What is the difference between Verilog ! and ~?

So it ended up that the bug that had kept me on for days, was a section of code that should have evaluated to False evaluating to True. My initial code went something like: if(~x && ~y) begin //do stuff end i.e. If x is NOT ONE and y is NOT ONE…
SleepingSpider
  • 1,056
  • 4
  • 17
  • 35
14
votes
5 answers

The font of my modelsim is too small to see

As you can see, the font of modelsim's text editor is very small. But I can't change the size in Tools->Edit Preferences->Source Window->Fonts. However, I can make the letters bigger by set the DPI higher(through System Settings->Fonts) or set the…
Wtswkz
  • 183
  • 1
  • 1
  • 11
13
votes
2 answers

Where can I find a definitive list of the ModelSim error codes?

I am running some VHDL through ModelSim. Each error and warning has its own error code (like this: (vcom-1292) Slice range direction "downto" specified in slice with prefix of unknown direction. This is just an example message; I understand what it…
Philippe
  • 3,605
  • 19
  • 34
11
votes
3 answers

Wait until =1 never true in VHDL simulation

Below is the code that I am running. My question is why doesn't the 3rd wait until trigger in modelsim? The console output is simply GOT HERE. It never gets to the line GOT HERE 2. I would think that having the same wait until = 1 twice…
Russell
  • 3,013
  • 3
  • 24
  • 44
11
votes
1 answer

Is there a way to toggle leaf names in ModelSim through the TCL API?

I am looking for a way to toggle leaf names of the nets in ModelSim view, in GUI mode. In other words, an equivalent of pressing "Toggle leaf names <-> full names" button: I am aware of the way of adding short names. For example, I've been using…
user405725
9
votes
1 answer

Altera Quartus falsly says Modelsim isn't installed

Installed Quartus 13.0 with Modelsim in Fedora 22 64-bit. Running Quartus in 32-bit because I get lots and lots of problems otherwise. However, I can start Quartus, create a project, synthesize it, fire up the simulation window and configure the in…
Johan
  • 4,848
  • 3
  • 32
  • 48
8
votes
1 answer

ModelSim-Altera error

I'm using Ubuntu Linux 14.04 LTS with Altera Quartus 15.0 web-edition and I'm having a hard time simulate my design due to licensing errors. I'm designing an LCD_driver for the VEEK-MT's LCD touch screen by terasic with the Cyclone IV EP4CE115 by…
Doron Behar
  • 1,897
  • 2
  • 17
  • 20
7
votes
1 answer

How to open Modelsim project files

I can open Modelsim project files by doing File->Recent Projects. However I do not know any other way to open projects. If I use File->Open it only opens up individual files, not projects. How can projects be opened?
neuromancer
  • 47,047
  • 74
  • 161
  • 217
7
votes
2 answers

Configure ModelSim simulation to display text

Can I make ModelSim simulation to display text (rather than a numeric value) on a signal? I have a couple of state-machine states say, localparam S_IDLE = 2'b00; localparam S_START = 2'b01; localparam S_STOP = 2'b10; Is there a way to display…
SleepingSpider
  • 1,056
  • 4
  • 17
  • 35
6
votes
2 answers

VHDL test results into jUnit (or other Jenkins-recognized) format

I'm setting up automated regression testing for an FPGA project, almost exactly as described here: Continuous integration of complex reconfigurable systems Now I want to get test results (from VHDL REPORT statements in ModelSim simulation) to…
Ben Voigt
  • 260,885
  • 36
  • 380
  • 671
6
votes
1 answer

Detect timescale in System Verilog

How do I detect the timescale precision used in a simulation from the source code ?. Consider I have a configuration parameter(cfg_delay_i) of some delay value given by user in timeunits as fs .If the user gives 1000 , my code has to wait 1000fs or…
Sreejin TJ
  • 157
  • 11
6
votes
1 answer

Finding when a certain signal has a particular value in Modelsim using tcl

I'm trying to speed up debugging. In a large trace I'm search for particular values of a signal. Im using QuestaSim 10.0b under linux. I already found out that can be done in Modelsim/QuestaSim with the following command searchlog -expr { stream ==…
sebs
  • 4,046
  • 3
  • 17
  • 22
6
votes
3 answers

How can I read binary data in VHDL/modelsim whithout using special binary formats

Some background: I am writing a VHDL test bench for a ethernet MAC. The testbench consists of a package and an combined entity + architecture file. I want to read the ethernet frames that the testbench will send to the MAC from a binary file which I…
youR.Fate
  • 726
  • 2
  • 9
  • 27
1
2 3
46 47