Questions tagged [xilinx]

Xilinx is a major brand of Field Programmable Gate Arrays (FPGA) and CPLDs (Complex Programmable Logic Devices)

Xilinx is known for its devices:

  • Spartan
  • Virtex
  • Kintex
  • Artix
  • Zynq Portfolio: System on Chip device along with a FPGA
  • Versal: 7nm adaptive compute acceleration platform (ACAP)

And the software tools it offers:

  • Vivado HLS: IP creation using C, C++ and System C
  • Vivado IP Integrator: create, configure and integrate IPs
  • System Generator: define, implement and test DSP algorithms
  • Vitis: software and accelerated applications development
  • Vitis AI: AI inference stack to run neural networks

With intellectual properties like microblaze soft processor.

Useful links

1289 questions
20
votes
7 answers

Programming VHDL on Linux?

Anyone knows good environment to program VHDL and simulate it (don't matter Xilinx or Altera) using Linux?
Daniel M.
  • 667
  • 1
  • 9
  • 23
18
votes
2 answers

Why does this code for incrementing an uint8_t include `& 0xFF`?

When reading through some example codes for DMAs from Xilinx, I came across this piece of code: value = (value + 1) & 0xFF where value is an uint8_t. What is the point of the & 0xFF? Why not simply write value = value + 1?
TheFisherman
  • 1,129
  • 2
  • 10
  • 15
11
votes
3 answers

Flush cache to DRAM

I'm using a Xilinx Zynq platform with a region of memory shared between the programmable HW and the ARM processor. I've reserved this memory using memmap on the kernel command line and then exposed it to userspace via mmap/io_remap_pfn_range calls…
Brian Magnuson
  • 1,385
  • 1
  • 7
  • 13
10
votes
3 answers

Easiest way to use DMA in Linux

I'm a EE and for a project at uni I'm developing hardware assisted image/video filtering on an FPGA (Xilinx ZYNQ), said device also has a dual core ARM A9 processor inside and more importantly there is also an ARM Primecell PL330 DMA controller I'm…
9
votes
2 answers

Printing signed integer value stored in a variable of type reg

How do I print a signed integer value stored in an 8-bit register declared as: reg [7:0] acc; Using: $display("acc : %d", acc) It prints the unsigned value. What's the correct syntax for the $display function?
Nullpoet
  • 9,549
  • 16
  • 44
  • 61
9
votes
1 answer

How to generate schematic file from verilog source in Xilinx

What I'm doing I started playing around with Xilinx ISE Design Suite and wrote simple Arithmetical Logic Units in verilog. Using verilog Unit Under Tests to create input and output signals for ISim, I verified, that the code works just as I want…
Margus
  • 18,332
  • 12
  • 51
  • 101
9
votes
4 answers

How to initialize contents of inferred Block RAM (BRAM) in Verilog

I am having trouble initializing the contents of an inferred ram in Verilog. The code for the ram is as below: module ram( input clock, // System clock input we, // When high RAM sets data in input lines to given address …
Paulo
  • 392
  • 1
  • 5
  • 10
9
votes
5 answers

How commonly used are the xilinx chips?

I'm beginning to learn embedded with C (and maybe some C++) and someone from the office said they're willing to donate a free xilinx chip they've got sitting on their shelf. I was thinking more along the lines of an Arduino, especially that the…
cooper
  • 1,494
  • 14
  • 25
9
votes
1 answer

How to launch Xilinx ISE Web Pack under Ubuntu?

I've downloaded and successfully installed Xilinx ISE Web Pack 14.4 on Ubuntu 12.10 although I'm unable to launch it.
Can
  • 3,914
  • 6
  • 25
  • 41
8
votes
1 answer

Vivado Synthesis hangs in Docker container spawned by Jenkins

I'm attempting to move our large FPGA build into a Jenkins CI environment, but the build hangs at the end of synthesis when run in a Docker container spawned by Jenkins. I've attempted to replicate the environment that Jenkins is creating, but when…
harkness
  • 81
  • 2
8
votes
2 answers

Read a specific memory address via /dev/mem from the command line

For context, programming a driver to interact with an FPGA IP core on an embedded Linux (Yocto: krogoth) on a Xilinx board. For debugging purposes I would like to read out specific memory addresses from physical memory. /dev/mem looks promising. I…
Moritz
  • 1,645
  • 1
  • 15
  • 25
8
votes
3 answers

How to add a Linux kernel driver module as a Buildroot package?

I am currently building an Embedded Linux for my Zybo Board from Xilinx. For this I use Buildroot. Now I want to add a driver, written in C, which can be used by a user program to write to some specific registers, enabling it to control some LEDs.…
Daiz
  • 287
  • 1
  • 2
  • 14
7
votes
2 answers

Ideas for a flexible/generic decoder in VHDL

I want to create an address Decoder that is flexible enough for me to use when changing the number of bits of the selector and of the decoded output signals. So, instead of having a static (fixed input/output size) Decoder that looks something like…
Erick Tejada
  • 251
  • 1
  • 6
  • 14
7
votes
3 answers

How to send data to AXI-Stream in Zynq from software tool?

I'm looking for a way to send some data from my software app written in C to AXI-Stream interface of Zynq. Something like open(/dev/axistream); send_data(data); I'm running Linux on the Arm part and now I want to connect it to the programmable…
Viktor Puš
  • 81
  • 1
  • 3
7
votes
2 answers

Type conversion in VHDL: real to integer - Is the rounding mode specified?

While debugging the handling of user defined physical types in Vivado (read more), I found a different behavior for type conversions from real to integer. Here is my example code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; --use …
Paebbels
  • 13,346
  • 11
  • 50
  • 114
1
2 3
85 86