31

I want to learn VHDL but I really don't know from where to start.

I want some advice and explanation about the software I need to get started.

I would like also to get some references in order to learn it efficiently without wasting time by searching on the Internet, since there are a lot of e-books and tutorials and I'm really confused about which one to choose.

Mike Pennington
  • 38,579
  • 16
  • 126
  • 167
Black
  • 331
  • 1
  • 4
  • 4
  • 1
    May be this site for vhdl beginners will help you. [vhdl tutorial site](http://vhdlguru.blogspot.com) – vipin Sep 02 '11 at 15:47

5 Answers5

31

I suggest, you have good background in Digital Design. If not, start with any edition of "Digital Design" book or, alternatively "Contemporary logic design".

  1. Download GHDL (VHDL compiler/simulator using GCC technology) or a little more friendly software tool boot.

  2. Learn how to build a VHDL program with GHDL. Try to compile simple "Hello, world!".

  3. Learn VHDL syntax with the open-source book Free Range VHDL. It is very important step.
Fabrizio
  • 368
  • 2
  • 13
psihodelia
  • 26,430
  • 33
  • 104
  • 153
  • But I told you i don't know even from where to start because I have no background in that field. – Black Aug 03 '11 at 18:06
16

As others have said, you need to learn about digital design before learning VHDL. But don't let that scare you away, it isn't that hard. I believe you can get started very fast with VHDL if you get the books, board and software which I recommend below.

Learn about Digital Design

"Digital Design" by Frank Vahid is an excellent book for people who wants to learn about digital design from scratch. We used that book in an introduction course on digital design where we also got introduced to VHDL. You don't have to dig very deep into that book before you can start playing a bit with VHDL (this book doesn't teach any VHDL though).
I remember the book as being very 'reader-friendly'.
http://www.amazon.com/dp/0470044373/?tag=stackoverfl08-20

Buy a FPGA board!

Next you really need to buy or have access to a FPGA board, and some learning material that utilizes and explains about that particular FPGA board that you choose.
Even though I had access to a FPGA board at my university, I choose to buy a board so I also could play around with VHDL at home.
At university we used some virtex-pro Spartan-3E 100 MHz board (can't remember the exact name). And for home I bought the Nexys2 board which is also in the spartan-3E family, and is an excellent board for FPGA beginners IMO.
http://www.digilentinc.com/Products/Detail.cfm?Prod=NEXYS2

Learn VHDL

For VHDL we used the book "FPGA prototyping by VHDL examples" by Pong P. Chu, which is an excellent book for getting some experience with VHDL fast without having to read too many boring pages.
The book also introduce you to the 'Xillinx ISE' software.
http://www.amazon.com/FPGA-Prototyping-VHDL-Examples-Spartan-3/dp/0470185317

Software

For software we used Xillinx ISE, compatible with both Linux and Windows (I used it mostly in Linux). At university we used some old version of Xillinx ISE because the new version wasn't compatible with the virtex pro board. This was the worst piece of software I've ever used, it was full of bugs and it was driving everyone crazy!
BUT at home and on my laptop I used the newest version of Xillinx ISE which was a brilliant piece of software, and didn't have any annoying bugs. The only thing I didn't use Xillinx ISE for, was to transfer the synthesized VHDL (.bit files) to my board, for that I just used 'Digilent Adept software' (some small program made by Digilent, for transferring files to and testing the board). But it should also be possible to set up Xillinx to be able to transfer the synthesized VHDL, if you aren't lazy like me.

So if you want to use Xillinx ISE, be sure to buy a board that is compatible with the newer version of Xillinx ISE, to avoid too many head-aches. For example the Nexys2 board.
We also used some nifty tool for debugging, think it was ModelSim. This should also be included in the Xillinx ISE webpack download if I'm not wrong.
Link to Xillinx ISE:
http://www.xilinx.com/ise/logic_design_prod/webpack_faq.htm#2b

Michelrandahl
  • 2,895
  • 2
  • 22
  • 39
  • 2
    Excellent answer, but I want to emphasize the importance of the simulator. Xilinx ISE - although it's a one-stop solution - is primary an implementation tool; a tool for converting your digital design into a programming file for your particular target. The simulator - whether its Riviera PRO, Simili or ModelSim - should be your first stop after a basic introduction to digital circuits. It let's you explore, visualize and play with your design before bringing it to the board. – trondd Sep 28 '12 at 18:14
6

I am in a very similar situation. I discovered Free Range VHDL and am learning fast as I work through it. This book is an update of The Low-Carb VHDL Tutorial with exercises at the end of every chapter.

After completing the paper exercises, I plan to get an XuLA board from XESS. Dave Vandenbout has written an excellent tutorial referencing his XuLA board. This book complements Free Range VHDL as it discusses actual FPGA devices and practical issues such compilation, synthesis and software download. This book is also available from the Free Range Factory and I have found an earlier edition on this pdf.

Dave Vandenbout's site offers more hardware than the Free Range Factory, so it is worth checking out. I am based in Europe, so I will also be looking at Trenz Elektronik online shop.

CharlesB
  • 75,315
  • 26
  • 174
  • 199
Nigel Davies
  • 1,118
  • 1
  • 8
  • 25
  • 1
    Free Range VHDL is under continuous development and I would strongly suggest to refer to its original repository www.freerangefactory.org, also based in Europe. We do sell a tight selection of what we consider the best open-source hardware out there. – Fabrizio Jun 19 '15 at 07:20
3

The web page for the first part of this course (more specifically, the course literature, tools and links parts) contains a pretty good list of reading material and tools. The course doesn't offer any introduction to VHDL but is open to students with no prior VHDL experience even so, so learning on your own with the provided material works pretty well. (At least it did for me; YMMV.)

valderman
  • 7,295
  • 4
  • 17
  • 29
1

I recommend using a good VHDL IDE.

Sigasi is my favorite (disclaimer: I'm co-founder of Sigasi)

Community
  • 1
  • 1
Hendrik
  • 1,207
  • 7
  • 13
  • That would be good for writing and editing the code. I hope you would add/suggest something for the simulation which potentially integrates with Sigasi. – wmac Jul 06 '13 at 12:48
  • 2
    We have added a list with free simulators you can use on our website: http://www.sigasi.com/faq/which-free-vhdl-simulator-can-i-use – Hendrik Jan 20 '14 at 10:13